→ Japanese Version

Achievement


[2018]

Journal Papers

Peer-Reviewed International Conference Papers

Invited Speeches/Invited Tutorials

  • T. Hanyu, "Prospects of Nonvolatile Logic LSI Using MTJ/MOS-Hybrid Circuitry and Its Application," Extended Abstracts of 2018 International Conference on Solid State Devices and Materials (SSDM2018) , Tokyo, Sep. 2018.(invited)
  • T. Hanyu, T. Endoh, D. Suzuki, M. Natsui, and H. Ohno, "Impact of an MTJ-based logic LSI and its possibility," Proc. of the 7th IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA) (accepted), Aug. 2018.
  • M. Natsui, T. Endoh, H. Ohno, and T. Hanyu, "MTJ-Based Nonvolatile Logic LSI for Ultra Low-Power and Highly Dependable Computing," China Semiconductor Technology International Conference (CSTIC), pp. 1-54, Mar. 2018.

Books

  • H. Ohno, T. Endoh, T. Hanyu, Y. Ando and S. Ikeda, "Spin-transfer-torque magnetoresistive random access memory (STT-MRAM)," in Chapter 12 of "Advances in Non-volatile Memory and Storage Technology," edited by Yoshio Nishi, *** 2018 (to appear).


[2017]

Journal Papers

Peer-Reviewed International Conference Papers

  • N. Onizawa, S. Koshita, S. Sakamoto, M. Kawamata, and T. Hanyu, "Design of Stochastic Asymmetirc Compensation Filter for Auditory SignalProcessing," Proc. 5th IEEE Global Conference on Signal and Information Processing (GlobalSIP), pp. 1315-1319, Nov. 2017.
  • M. Natsui and T. Hanyu, "Energy-Efficient High-Performance Nonvolatile VLSI Processor with a Temporary-Data Reuse Technique," Extended Abstracts of 2017 International Conference on Solid State Devices and Materials (SSDM2017), pp.977-978, Sendai, Sept. 2017.
  • D. Suzuki and T. Hanyu, "Design of an MTJ-Oriented Nonvolatile Lookup Table Circuit with Write-Operation Minimizing," Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials (SSDM2017), pp.195-196, Sendai, Sept. 2017.
  • M. Rizk, J.-P. Diguet, N. Onizawa, M. J. Sepulveda, Y. Akgul, V. Gripon, A. Baghdadi, and T. Hanyu, "NoC-MRAM Architecture for Memory-Based Computing: Database-Search Case Study," Proc. 15th IEEE International New Circuits and Systems Conference (NEWCAS), pp. 309-312, Jun. 2017.
  • N. Onizawa, S. Koshita, S. Sakamoto, M. Kawamata, and T. Hanyu, "Evaluation of Stochastic Cascaded IIR Filters," 47th IEEE International Symposium on Multiple-Valued Logic (ISMVL), May 2017.
  • N. Onizawa, M. Imai, T. Hanyu and T. Yoneda, "MTJ-based asynchronous circuits for re-initialization free computing against power failures," Proc. of 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), pp.118-125, May 2017.

Invited Speeches/Invited Tutorials

  • T. Hanyu, "Challenge of MTJ-Based Nonvolatile Logic LSI for IoT Applications," Tohoku-Hanyang Workshop on Electronics and Communications Engineering (WECE), Aug. 2017.
  • T. Hanyu, "Challenge of Spintronics-Based Nonvolatile VLSI Processor with a Sudden Power-Outage Resilient In-Processor Checkpointing," 2017 Spintronics Workshop on LSI, P.3, Jun. 5, 2017.
  • T. Hanyu, "Challenge of MOS/MTJ-Hybrid Nonvolatile VLSI Processor for IoE Applications," Emerging Technologies of Communications, Microsystems, Optoelectronics and Sensors 2017 (ETCMOS 2017), Warsaw, Poland, May 30, 2017.
  • D. Suzuki and T. Hanyu, "MTJ-Based Nonvolatile FPGA; the Present and the Future Technology Trends," 26th International Workshop on Post-Binary ULSI Systems, p. 2, May, 2017.
  • T. Hanyu, "MTJ-Based Nonvolatile Logic-in-Memory Circuits with Self-Terminated Writing Mechanism for Ultra-Low-Power VLSI Processor," Special lecture at Nazarbayev Univ., Astana, Kazakhstan, May 4, 2017.
  • T. Hanyu, "Challenge of Spintronics-Device-Based Non-volatile Logic-in-Memory Architecture for Internet-of-Things Applications," BIT's 3rd Annual World Congress of Smart Materials-2017, P.262, Mar. 2017.
  • T. Hanyu, D. Suzuki, N. Onizawa, and M. Natsui, "Three-Terminal MTJ-Based Nonvolatile Logic Circuits with Self-Terminated Writing Mechanism for Ultra-Low-Power VLSI Processor," Design, Automation & Test in Europe (DATE), pp. 548-553, Mar. 2017.


[2016]

Journal Papers

Peer-Reviewed International Conference Papers

Invited Speeches/Invited Tutorials

  • M. Natsui, T. Endoh, H. Ohno, and T. Hanyu, "Towards Ultra Low-Power and Highly Dependable VLSI Computing Based on MTJ-Based Nonvolatile Logic-in-Memory Architecture," Proc. of BIT’s 6th Annual World Congress of Nano Science & Technology 2016 (Nano-S&T), Oct. 2016.
  • T. Hanyu, "Challenge of Spintronics-Based Nonvolatile Logic-in-Memory VLSI Architecture towards the IoE Era," 2016 Spintronics Workshop on LSI, P. 6, Jun. 2016.
  • T. Hanyu, "Challenge of MOS/MTJ-Hybrid Nonvolatile VLSI Processor for IoE Applications," VLSI Technology Short Course 2016, No.8, Jun. 2016.

Books

  • T. Hanyu, T. Endoh, S. Ikeda, T. Sugibayashi, N. Kasai, D. Suzuki, M. Natsui, H. Koike, and H. Ohno, "Beyond MRAM: Nonvolatile Logic-in-Memory VLSI, " Chapter 7 in Book: Introduction to Magnetic Random-Access Memory, edited by Bernard Dieny, Ronald B. Goldfarb, Kyung-Jin Lee, Dec. 2016, Wiley-IEEE Press ISBN: 978-1-119-00974-0


[2015]

Journal Papers

Peer-Reviewed International Conference Papers

Books


[2014]

Journal Papers

Peer-Reviewed International Conference Papers

Invited Speeches/Invited Tutorials

  • A. Mochizuki, M. Natsui, N. Sakimura, T. Sugibayashi, and T. Hanyu, "Challenge of Nonvolatile TCAM Design Automation," Booklet of the 23rd International Workshop on Post-Binary ULSI Systems, p. 1, May 2014.


[2013]

Journal Papers

Peer-Reviewed International Conference Papers

Invited Speeches/Invited Tutorials


[2012]

Journal Papers

Peer-Reviewed International Conference Papers

Invited Speeches/Invited Tutorials

  • T. Hanyu, "Nonvolatile Logic-in-Memory Architecture Using an MTJ/MOS-Hybrid Structure and Its Applications," Proc. IEEE COOL Chips XV, pp. 1-2, Apr. 2012 (Invited Talk).


[2011]

Journal Papers

Internationl Conference Papers

Invited Speeches/Invited Tutorials

  • T. Hanyu, "MTJ-based Nonvolatile Logic-in-Memory Architecture and Its Application," 11th Non-Volatile Memory Technology Symposium (NVMTS), Nov. 2011 (Invited Talk).

Books

  • N. Onizawa, T. Funazaki, A. Matsumoto, and T. Hanyu, "Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model,” Chapter in Book: Designing Very Large Scale Integration Systems: Emerging Trends & Challenges, Springer, pp. 17-30, 2011.


[2010]

Journal Papers

Internationl Conference Papers

  • Y. Lin, D. Suzuki, M. Natsui, and T. Hanyu, "MTJ-Based Nonvolatile Reconfigurable LSI with Fine Grained Power Management," Proc. Japan-China-Korea Conference on Electronics & Communications (GWEI), p.JCK-P-17, Nov. 2010.
  • Y. K. Kim, M. Natsui, and T. Hanyu, "Design of a Dependable Logic Circuit Using Nonvolatile Programmable Devices," Proc. Japan-China-Korea Conference on Electronics & Communications (GWEI), p. JCK-P-18, Nov. 2010.
  • D. Suzuki, M. Natsui, H. Ohno, and T. Hanyu, "Design of a Process-Variation-Aware Nonvolatile MTJ-Based Lookup-Table Circuit," Ext. Abst. International Conference on Solid State Devices and Materials (SSDM), pp. 1146-1147, Sep. 2010.
  • S. Matsunaga, M. Natsui, H. Ohno, and T. Hanyu, "Power-Aware Bit-Serial Binary Content-Addressable Memory Using Magnetic-Tunnel-Junction-Based Fine-Grained Power-Gating Scheme," Ext. Abst. International Conference on Solid State Devices and Materials (SSDM), pp. 565-566, Sep. 2010.
  • T. Hanyu, "Logic-in-Memory Architecture Using Si-MOSFETs and Magnetic Tunnel Junctions," Proc. 6th International Conference on Physics and Applications of Spin Related Phenomena in Semiconductors (PASPS-VI), p. 176, Aug. 2010.
  • N. Onizawa, T. Funazaki, A. Matsumoto, and T. Hanyu, "Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model," Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 357-362, Jul. 2010.
  • M. Natsui and T. Hanyu, "Process-Variation-Aware VLSI Design Using Emerging Functional Devices and Its Impact," Booklet of the 19th International Workshop on Post-Binary ULSI Systems, pp. 20-25, May 2010.
  • A. Matsumoto, N. Onizawa, and T. Hanyu, "One-Color Two-Phase Asynchronous Communication Links Based on Multiple-Valued Simultaneous Control," Proc. 40th IEEE International Symposium on Multiple-Valued Logic (ISMVL), pp. 211-216, May 2010.
  • M. Natsui, T. Arimitsu, and T. Hanyu, "Low-Energy Pipelined Multiple-Valued Current-Mode Circuit with 8-Level Static Current-Source Control," Proc. 40th IEEE International Symposium on Multiple-Valued Logic (ISMVL), pp. 235-240, May 2010.
  • N. Onizawa and T. Hanyu, "High-Throughput Protocol Converter Based on Independent Encoding/Decoding Scheme for Asynchronous Network-on-Chip," Proc. IEEE International Symposium on Circuits and Systems (ISCAS), pp. 157-160, May 2010.

Invited Speeches/Invited Tutorials

  • T. Hanyu, "Nonvolatile FPGAs Using Nonvolatile Logic-in-Memory Architecture," ITRS Workshop on Emerging Spin and Carbon Based Emerging Logic Devices, ESSDERC, Sep. 2010 (Invited).
  • T. Hanyu (Panelist), Rump Session: The Future of Embedded Memory, 2010 Symposia on VLSI Technology and Circuits, Jun. 2010 (Invited).
  • T. Hanyu, "MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture and Its Impact," Proc. 28th IEEE VLSI Test Symposium, p. 258, Apr. 2010 (Invited).


[2009]

Journal Papers

Internationl Conference Papers

Invited Speeches/Invited Tutorials

  • M. Natsui and T. Hanyu, "MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture," Ext. Abst. International Conference on Solid State Devices and Materials(SSDM), pp. 1398-1399, Oct. 2009 (Invited).
  • T. Hanyu, "Ultra-Low Power IC Technology Integrated with Innovative Materials," 2009 International Conference on Solid-State Devices and Materials, Workshop, pp. 1-9, Oct. 2009.(Invited Talk)
  • T. Hanyu, "A MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture," Advances in Magnetic Nanostructures, Engineering Conferences International (ECI), p. 21, Oct. 2009.(Invited Talk)
  • S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, T. Endoh, H. Ohno, and T. Hanyu, "MTJ-Based Nonvolatile Logic-in-Memory Circuit, Future Prospects and Issues," Design Automation and Test in Europe (DATE), pp. 433-436, Apr. 2009 (Invited).


[2008]

Journal Papers

Internationl Conference Papers

Invited Speeches/Invited Tutorials

  • T. Hanyu, "TMR Logic: Nonvolatile Logic Circuit Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions," Pacific Rim Meeting on Electrochemical and Solid-State Science (PRiME), 2105, Oct. 2008 (Invited).


[2007]

Journal Papers

Internationl Conference Papers


[2006]

Journal Papers

Internationl Conference Papers


[2005]

Journal Papers

  • A. Mochizuki, T. Hanyu, and M. Kameyama, "Design of a Low-Power Multiple-Valued Integrated Circuit Based on Dynamic Source-Coupled Logic," International Journal of Multiple-Valued Logic and Soft Computing, vol.11, no. 5-6, pp. 481-498, 2005.
  • T. Takahashi and T. Hanyu, "Control Signal Multiplexing Based Asynchronous Data Transfer Scheme Using Multiple-Valued Bidirectional Current-Mode Circuits," International Journal of Multiple-Valued Logic and Soft Computing, vol. 11, no. 5-6, pp. 499-517, 2005.
  • A. Mochizuki, H. Kimura, M. Ibuki, and T. Hanyu, "TMR-Based Logic-in-Memory Circuit for Low-Power VLSI," IEICE Trans. Fundamentals, vol.E88-A, no.6, pp.1408-1415, Jun. 2005.

Internationl Conference Papers


[2004]

Journal Papers

Internationl Conference Papers


[2003]

Journal Papers

  • H. Kimura, T. Hanyu, M. Kameyama, Y. Fujimori, T. Nakamura, and H. Takasu, "Design of Ferroelectric-Based Logic-in-Memory VLSI," IEICE Trans. Electron. (Japansese), col.J86-C no. 8, pp. 886-893, Aug. 2003.
  • T. Ike, T. Hanyu, M. Kameyama, "Optimal Design of a Dual-Rail Multiple-Valued Current-Mode Integrated Circuit Based on Voltage Swing Minimimzation," Journal of Multiple-Valued Logic & Soft Computing, vol.9, no.1, pp.5-21, 2003.
  • H. Kimura, T. Hanyu, and M. Kameyama, "Multiple-Valued Logic-in-Memory VLSI Using MFSFETs and Its Applications," Journal of Multiple-Valued Logic & Soft Computing, vol.9, no. 1, pp. 23-42, 2003.

Internationl Conference Papers

↑ PAGE TOP